Packages

t

chisel3

VecLike

trait VecLike[T <: Data] extends IndexedSeq[T] with HasId with SourceInfoDoc

A trait for Vecs containing common hardware generators for collection operations.

Source
Aggregate.scala
Known Subclasses
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. VecLike
  2. SourceInfoDoc
  3. HasId
  4. InstanceId
  5. IndexedSeq
  6. IndexedSeqOps
  7. IndexedSeq
  8. IndexedSeqOps
  9. Seq
  10. SeqOps
  11. Seq
  12. Equals
  13. SeqOps
  14. PartialFunction
  15. Function1
  16. Iterable
  17. Iterable
  18. IterableFactoryDefaults
  19. IterableOps
  20. IterableOnceOps
  21. IterableOnce
  22. AnyRef
  23. Any
Implicitly
  1. by UnliftOps
  2. by iterableOnceExtensionMethods
  3. by any2stringadd
  4. by StringFormat
  5. by Ensuring
  6. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Abstract Value Members

  1. abstract def apply(i: Int): T
    Definition Classes
    SeqOps
    Annotations
    @throws(scala.this.throws.<init>$default$1[IndexOutOfBoundsException])
  2. abstract def do_apply(p: UInt)(implicit sourceInfo: SourceInfo): T

  3. abstract def length: Int
    Definition Classes
    SeqOps
  4. abstract def toAbsoluteTarget: IsMember

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    InstanceId
  5. abstract def toNamed: Named

    Returns a FIRRTL Named that refers to this object in the elaborated hardware graph

    Returns a FIRRTL Named that refers to this object in the elaborated hardware graph

    Definition Classes
    InstanceId
  6. abstract def toTarget: IsMember

    Returns a FIRRTL IsMember that refers to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to this object in the elaborated hardware graph

    Definition Classes
    InstanceId

Concrete Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toany2stringadd[VecLike[T]] performed by method any2stringadd in scala.Predef.
    Definition Classes
    any2stringadd
  4. final def ++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps
    Annotations
    @inline()
  5. final def ++:[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  6. final def +:[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  7. def ->[B](y: B): (VecLike[T], B)
    Implicit
    This member is added by an implicit conversion from VecLike[T] toArrowAssoc[VecLike[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  8. final def :+[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  9. final def :++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  10. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  11. final def addString(b: StringBuilder): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  12. final def addString(b: StringBuilder, sep: String): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  13. def addString(b: StringBuilder, start: String, sep: String, end: String): b.type
    Definition Classes
    IterableOnceOps
  14. def andThen[C](k: PartialFunction[T, C]): PartialFunction[Int, C]
    Definition Classes
    PartialFunction
  15. def andThen[C](k: (T) => C): PartialFunction[Int, C]
    Definition Classes
    PartialFunction → Function1
  16. def appended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  17. def appendedAll[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  18. macro def apply(p: UInt): T

    Creates a dynamically indexed read or write accessor into the array.

  19. def applyOrElse[A1 <: Int, B1 >: T](x: A1, default: (A1) => B1): B1
    Definition Classes
    PartialFunction
  20. def applyPreferredMaxLength: Int
    Attributes
    protected
    Definition Classes
    IndexedSeq
  21. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  22. def canEqual(that: Any): Boolean
    Definition Classes
    IndexedSeq → Seq → Equals
  23. def circuitName: String
    Definition Classes
    HasId
  24. def className: String
    Attributes
    protected[this]
    Definition Classes
    Iterable
  25. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native()
  26. final def coll: VecLike.this.type
    Attributes
    protected
    Definition Classes
    Iterable → IterableOps
  27. def collect[B](pf: PartialFunction[T, B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  28. def collectFirst[B](pf: PartialFunction[T, B]): Option[B]
    Definition Classes
    IterableOnceOps
  29. def combinations(n: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  30. def compose[R](k: PartialFunction[R, Int]): PartialFunction[R, T]
    Definition Classes
    PartialFunction
  31. def compose[A](g: (A) => Int): (A) => T
    Definition Classes
    Function1
    Annotations
    @unspecialized()
  32. final def concat[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  33. macro def contains(x: T)(implicit ev: <:<[T, UInt]): Bool

    Outputs true if the vector contains at least one element equal to x (using the === operator).

  34. def contains[A1 >: T](elem: A1): Boolean
    Definition Classes
    SeqOps
  35. def containsSlice[B >: T](that: Seq[B]): Boolean
    Definition Classes
    SeqOps
  36. def copyToArray[B >: T](xs: Array[B], start: Int, len: Int): Int
    Definition Classes
    IterableOnceOps
  37. def copyToArray[B >: T](xs: Array[B], start: Int): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  38. def copyToArray[B >: T](xs: Array[B]): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  39. def corresponds[B](that: Seq[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    SeqOps
  40. def corresponds[B](that: IterableOnce[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  41. macro def count(p: (T) => Bool): UInt

    Outputs the number of elements for which p is true.

  42. def count(p: (T) => Boolean): Int
    Definition Classes
    IterableOnceOps
  43. def diff[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  44. def distinct: IndexedSeq[T]
    Definition Classes
    SeqOps
  45. def distinctBy[B](f: (T) => B): IndexedSeq[T]
    Definition Classes
    SeqOps
  46. def do_contains(x: T)(implicit sourceInfo: SourceInfo, ev: <:<[T, UInt]): Bool

  47. def do_count(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt

  48. def do_exists(p: (T) => Bool)(implicit sourceInfo: SourceInfo): Bool

  49. def do_forall(p: (T) => Bool)(implicit sourceInfo: SourceInfo): Bool

  50. def do_indexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt

  51. def do_lastIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt

  52. def do_onlyIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt

  53. def drop(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  54. def dropRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  55. def dropWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  56. def elementWise: ElementWiseExtractor[Int, T]
    Definition Classes
    PartialFunction
  57. def empty: IndexedSeq[T]
    Definition Classes
    IterableFactoryDefaults → IterableOps
  58. def endsWith[B >: T](that: Iterable[B]): Boolean
    Definition Classes
    SeqOps
  59. def ensuring(cond: (VecLike[T]) => Boolean, msg: => Any): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  60. def ensuring(cond: (VecLike[T]) => Boolean): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  61. def ensuring(cond: Boolean, msg: => Any): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  62. def ensuring(cond: Boolean): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  63. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  64. def equals(that: Any): Boolean
    Definition Classes
    VecLike → HasId → Seq → Equals → AnyRef → Any
  65. macro def exists(p: (T) => Bool): Bool

    Outputs true if p outputs true for at least one element.

  66. def exists(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  67. def filter(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  68. def filterNot(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  69. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable])
  70. def find(p: (T) => Boolean): Option[T]
    Definition Classes
    IterableOnceOps
  71. def findLast(p: (T) => Boolean): Option[T]
    Definition Classes
    SeqOps
  72. def flatMap[B](f: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  73. def flatten[B](implicit asIterable: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  74. def fold[A1 >: T](z: A1)(op: (A1, A1) => A1): A1
    Definition Classes
    IterableOnceOps
  75. def foldLeft[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  76. def foldRight[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  77. macro def forall(p: (T) => Bool): Bool

    Outputs true if p outputs true for every element.

  78. def forall(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  79. def foreach[U](f: (T) => U): Unit
    Definition Classes
    IterableOnceOps
  80. def fromSpecific(coll: IterableOnce[T]): IndexedSeq[T]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  81. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native()
  82. def groupBy[K](f: (T) => K): Map[K, IndexedSeq[T]]
    Definition Classes
    IterableOps
  83. def groupMap[K, B](key: (T) => K)(f: (T) => B): Map[K, IndexedSeq[B]]
    Definition Classes
    IterableOps
  84. def groupMapReduce[K, B](key: (T) => K)(f: (T) => B)(reduce: (B, B) => B): Map[K, B]
    Definition Classes
    IterableOps
  85. def grouped(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  86. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  87. def hashCode(): Int
    Definition Classes
    VecLike → HasId → Seq → AnyRef → Any
  88. def head: T
    Definition Classes
    IndexedSeqOps → IterableOps
  89. def headOption: Option[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  90. def indexOf[B >: T](elem: B): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  91. def indexOf[B >: T](elem: B, from: Int): Int
    Definition Classes
    SeqOps
  92. def indexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  93. def indexOfSlice[B >: T](that: Seq[B], from: Int): Int
    Definition Classes
    SeqOps
  94. macro def indexWhere(p: (T) => Bool): UInt

    Outputs the index of the first element for which p outputs true.

  95. def indexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  96. def indexWhere(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  97. def indices: Range
    Definition Classes
    SeqOps
  98. def init: IndexedSeq[T]
    Definition Classes
    IterableOps
  99. def inits: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  100. def instanceName: String
    Definition Classes
    HasId → InstanceId
  101. def intersect[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  102. def isDefinedAt(idx: Int): Boolean
    Definition Classes
    SeqOps
  103. def isEmpty: Boolean
    Definition Classes
    SeqOps → IterableOnceOps
  104. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  105. def isTraversableAgain: Boolean
    Definition Classes
    IterableOps → IterableOnceOps
  106. def iterableFactory: SeqFactory[IndexedSeq]
    Definition Classes
    IndexedSeq → IndexedSeq → Seq → Seq → Iterable → Iterable → IterableOps
  107. def iterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → IterableOnce
  108. def knownSize: Int
    Definition Classes
    IndexedSeqOps → IterableOnce
  109. def last: T
    Definition Classes
    IndexedSeqOps → IterableOps
  110. def lastIndexOf[B >: T](elem: B, end: Int): Int
    Definition Classes
    SeqOps
  111. def lastIndexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  112. def lastIndexOfSlice[B >: T](that: Seq[B], end: Int): Int
    Definition Classes
    SeqOps
  113. macro def lastIndexWhere(p: (T) => Bool): UInt

    Outputs the index of the last element for which p outputs true.

  114. def lastIndexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  115. def lastIndexWhere(p: (T) => Boolean, end: Int): Int
    Definition Classes
    SeqOps
  116. def lastOption: Option[T]
    Definition Classes
    IterableOps
  117. def lazyZip[B](that: Iterable[B]): LazyZip2[T, B, VecLike.this.type]
    Definition Classes
    Iterable
  118. final def lengthCompare(that: Iterable[_]): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  119. final def lengthCompare(len: Int): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  120. final def lengthIs: SizeCompareOps
    Definition Classes
    SeqOps
    Annotations
    @inline()
  121. def lift: (Int) => Option[T]
    Definition Classes
    PartialFunction
  122. def map[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  123. def max[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  124. def maxBy[B](f: (T) => B)(implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  125. def maxByOption[B](f: (T) => B)(implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  126. def maxOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  127. def min[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  128. def minBy[B](f: (T) => B)(implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  129. def minByOption[B](f: (T) => B)(implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  130. def minOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  131. final def mkString: String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  132. final def mkString(sep: String): String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  133. final def mkString(start: String, sep: String, end: String): String
    Definition Classes
    IterableOnceOps
  134. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  135. def newSpecificBuilder: Builder[T, IndexedSeq[T]]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  136. def nonEmpty: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  137. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  138. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  139. def occCounts[B](sq: Seq[B]): Map[B, Int]
    Attributes
    protected[collection]
    Definition Classes
    SeqOps
  140. macro def onlyIndexWhere(p: (T) => Bool): UInt

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    The implementation may be more efficient than a priority mux, but incorrect results are possible if there is not exactly one true element.

    Note

    the assumption that there is only one element for which p outputs true is NOT checked (useful in cases where the condition doesn't always hold, but the results are not used in those cases)

  141. def orElse[A1 <: Int, B1 >: T](that: PartialFunction[A1, B1]): PartialFunction[A1, B1]
    Definition Classes
    PartialFunction
  142. def padTo[B >: T](len: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  143. def parentModName: String
    Definition Classes
    HasId → InstanceId
  144. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  145. def partition(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps
  146. def partitionMap[A1, A2](f: (T) => Either[A1, A2]): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  147. def patch[B >: T](from: Int, other: IterableOnce[B], replaced: Int): IndexedSeq[B]
    Definition Classes
    SeqOps
  148. def pathName: String
    Definition Classes
    HasId → InstanceId
  149. def permutations: Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  150. def prepended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → SeqOps
  151. def prependedAll[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  152. def product[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  153. def reduce[B >: T](op: (B, B) => B): B
    Definition Classes
    IterableOnceOps
  154. def reduceLeft[B >: T](op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  155. def reduceLeftOption[B >: T](op: (B, T) => B): Option[B]
    Definition Classes
    IterableOnceOps
  156. def reduceOption[B >: T](op: (B, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  157. def reduceRight[B >: T](op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
  158. def reduceRightOption[B >: T](op: (T, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  159. def reverse: IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  160. def reverseIterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  161. def reversed: Iterable[T]
    Attributes
    protected
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  162. def runWith[U](action: (T) => U): (Int) => Boolean
    Definition Classes
    PartialFunction
  163. def sameElements[B >: T](o: IterableOnce[B]): Boolean
    Definition Classes
    IndexedSeq → SeqOps
  164. def scan[B >: T](z: B)(op: (B, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  165. def scanLeft[B](z: B)(op: (B, T) => B): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  166. def scanRight[B](z: B)(op: (T, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  167. def search[B >: T](elem: B, from: Int, to: Int)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  168. def search[B >: T](elem: B)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  169. def segmentLength(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  170. final def segmentLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
  171. final def size: Int
    Definition Classes
    SeqOps → IterableOnceOps
  172. final def sizeCompare(that: Iterable[_]): Int
    Definition Classes
    SeqOps → IterableOps
  173. final def sizeCompare(otherSize: Int): Int
    Definition Classes
    SeqOps → IterableOps
  174. final def sizeIs: SizeCompareOps
    Definition Classes
    IterableOps
    Annotations
    @inline()
  175. def slice(from: Int, until: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IndexedSeqOps → IterableOps → IterableOnceOps
  176. def sliding(size: Int, step: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  177. def sliding(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  178. def sortBy[B](f: (T) => B)(implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  179. def sortWith(lt: (T, T) => Boolean): IndexedSeq[T]
    Definition Classes
    SeqOps
  180. def sorted[B >: T](implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  181. def span(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  182. def splitAt(n: Int): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  183. def startsWith[B >: T](that: IterableOnce[B], offset: Int): Boolean
    Definition Classes
    SeqOps
  184. def stepper[S <: Stepper[_]](implicit shape: StepperShape[T, S]): S with EfficientSplit
    Definition Classes
    IndexedSeqOps → IterableOnce
  185. def stringPrefix: String
    Attributes
    protected[this]
    Definition Classes
    IndexedSeq → Seq → Iterable
  186. def suggestName(seed: => String): VecLike.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  187. def sum[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  188. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  189. def tail: IndexedSeq[T]
    Definition Classes
    IterableOps
  190. def tails: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  191. def take(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  192. def takeRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  193. def takeWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  194. def tapEach[U](f: (T) => U): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  195. def to[C1](factory: Factory[T, C1]): C1
    Definition Classes
    IterableOnceOps
  196. def toArray[B >: T](implicit arg0: ClassTag[B]): Array[B]
    Definition Classes
    IterableOnceOps
  197. final def toBuffer[B >: T]: Buffer[B]
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  198. final def toIndexedSeq: IndexedSeq[T]
    Definition Classes
    IndexedSeq → IterableOnceOps
  199. def toList: List[T]
    Definition Classes
    IterableOnceOps
  200. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Definition Classes
    IterableOnceOps
  201. final def toSeq: VecLike.this.type
    Definition Classes
    Seq → IterableOnceOps
  202. def toSet[B >: T]: Set[B]
    Definition Classes
    IterableOnceOps
  203. def toString(): String
    Definition Classes
    Seq → Function1 → Iterable → AnyRef → Any
  204. def toVector: Vector[T]
    Definition Classes
    IterableOnceOps
  205. def transpose[B](implicit asIterable: (T) => Iterable[B]): IndexedSeq[IndexedSeq[B]]
    Definition Classes
    IterableOps
  206. def unapply(a: Int): Option[T]
    Definition Classes
    PartialFunction
  207. def unlift: PartialFunction[Int, B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toUnliftOps[Int, B] performed by method UnliftOps in scala.Function1.This conversion will take place only if T is a subclass of Option[B] (T <: Option[B]).
    Definition Classes
    UnliftOps
  208. def unzip[A1, A2](implicit asPair: (T) => (A1, A2)): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  209. def unzip3[A1, A2, A3](implicit asTriple: (T) => (A1, A2, A3)): (IndexedSeq[A1], IndexedSeq[A2], IndexedSeq[A3])
    Definition Classes
    IterableOps
  210. def updated[B >: T](index: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  211. def view: IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → SeqOps → IterableOps
  212. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  213. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  214. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  215. def withFilter(p: (T) => Boolean): WithFilter[T, [_]IndexedSeq[_]]
    Definition Classes
    IterableOps
  216. def zip[B](that: IterableOnce[B]): IndexedSeq[(T, B)]
    Definition Classes
    IterableOps
  217. def zipAll[A1 >: T, B](that: Iterable[B], thisElem: A1, thatElem: B): IndexedSeq[(A1, B)]
    Definition Classes
    IterableOps
  218. def zipWithIndex: IndexedSeq[(T, Int)]
    Definition Classes
    IterableOps → IterableOnceOps

Deprecated Value Members

  1. def /:[B](z: B)(op: (B, T) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T])./:(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldLeft instead

  2. final def /:[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldLeft instead of /:

  3. def :\[B](z: B)(op: (T, B) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).:\(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldRight instead

  4. final def :\[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldRight instead of :\

  5. def aggregate[B](z: => B)(seqop: (B, T) => B, combop: (B, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) aggregate is not relevant for sequential collections. Use foldLeft(z)(seqop) instead.

  6. def collectFirst[B](f: PartialFunction[T, B]): Option[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).collectFirst(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.collectFirst(...) instead

  7. def companion: IterableFactory[[_]IndexedSeq[_]]
    Definition Classes
    IterableOps
    Annotations
    @deprecated @deprecatedOverriding() @inline()
    Deprecated

    (Since version 2.13.0) Use iterableFactory instead

  8. def copyToBuffer(dest: Buffer[T]): Unit
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).copyToBuffer(dest)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.copyToBuffer(...) instead

  9. final def copyToBuffer[B >: T](dest: Buffer[B]): Unit
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use dest ++= coll instead

  10. def count(f: (T) => Boolean): Int
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).count(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.count(...) instead

  11. def exists(f: (T) => Boolean): Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).exists(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.exists(...) instead

  12. def filter(f: (T) => Boolean): Iterator[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).filter(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.filter(...) instead

  13. def find(p: (T) => Boolean): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).find(p)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.find instead

  14. def flatMap[B](f: (T) => IterableOnce[B]): IterableOnce[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).flatMap(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.flatMap instead or consider requiring an Iterable

  15. def fold[A1 >: A](z: A1)(op: (A1, A1) => A1): A1
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).fold(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.fold instead

  16. def foldLeft[B](z: B)(op: (B, T) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).foldLeft(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldLeft instead

  17. def foldRight[B](z: B)(op: (T, B) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).foldRight(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldRight instead

  18. def forall(f: (T) => Boolean): Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).forall(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.forall(...) instead

  19. def foreach[U](f: (T) => U): Unit
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).foreach(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foreach(...) instead

  20. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toStringFormat[VecLike[T]] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  21. def hasDefiniteSize: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Check .knownSize instead of .hasDefiniteSize for more actionable information (see scaladoc for details)

  22. def isEmpty: Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).isEmpty
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.isEmpty instead

  23. def map[B](f: (T) => B): IterableOnce[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).map(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.map instead or consider requiring an Iterable

  24. def max(implicit ord: Ordering[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).max(ord)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.max instead

  25. def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).maxBy(f)(cmp)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.maxBy(...) instead

  26. def min(implicit ord: Ordering[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).min(ord)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.min instead

  27. def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).minBy(f)(cmp)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.minBy(...) instead

  28. def mkString: String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).mkString
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  29. def mkString(sep: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).mkString(sep)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  30. def mkString(start: String, sep: String, end: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).mkString(start, sep, end)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  31. def nonEmpty: Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).nonEmpty
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.nonEmpty instead

  32. final def prefixLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use segmentLength instead of prefixLength

  33. def product(implicit num: Numeric[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).product(num)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.product instead

  34. def reduce(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduce(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduce(...) instead

  35. def reduceLeft(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceLeft(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceLeft(...) instead

  36. def reduceLeftOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceLeftOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceLeftOption(...) instead

  37. def reduceOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceOption(...) instead

  38. def reduceRight(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceRight(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceRight(...) instead

  39. def reduceRightOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceRightOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceRightOption(...) instead

  40. final def repr: IndexedSeq[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use coll instead of repr in a collection implementation, use the collection value itself from the outside

  41. def reverseMap[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .reverseIterator.map(f).to(...) instead of .reverseMap(f)

  42. def sameElements[B >: A](that: IterableOnce[B]): Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).sameElements(that)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.sameElements instead

  43. def seq: VecLike.this.type
    Definition Classes
    Iterable
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Iterable.seq always returns the iterable itself

  44. def size: Int
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).size
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.size instead

  45. def sum(implicit num: Numeric[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).sum(num)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.sum instead

  46. def to[C1](factory: Factory[T, C1]): C1
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).to(factory)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(factory) instead

  47. def toArray[B >: A](implicit arg0: ClassTag[B]): Array[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toArray(arg0)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.toArray

  48. def toBuffer[B >: A]: Buffer[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toBuffer
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(ArrayBuffer) instead

  49. def toIndexedSeq: IndexedSeq[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toIndexedSeq
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.toIndexedSeq instead

  50. final def toIterable: Iterable[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toIterable
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Iterable) instead

  51. final def toIterable: VecLike.this.type
    Definition Classes
    Iterable → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.7) toIterable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  52. def toIterator: Iterator[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toIterator
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead

  53. final def toIterator: Iterator[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead of .toIterator

  54. def toList: List[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toList
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(List) instead

  55. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toMap(ev)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Map) instead

  56. def toSeq: Seq[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toSeq
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Seq) instead

  57. def toSet[B >: A]: Set[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toSet
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Set) instead

  58. def toStream: Stream[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toStream
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(LazyList) instead

  59. final def toStream: Stream[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .to(LazyList) instead of .toStream

  60. final def toTraversable: Traversable[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toTraversable
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Iterable) instead

  61. final def toTraversable: Traversable[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) toTraversable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  62. def toVector: Vector[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toVector
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Vector) instead

  63. final def union[B >: T](that: Seq[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use concat instead

  64. def view(from: Int, until: Int): IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .view.slice(from, until) instead of .view(from, until)

  65. def withFilter(f: (T) => Boolean): Iterator[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).withFilter(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.withFilter(...) instead

  66. def [B](y: B): (VecLike[T], B)
    Implicit
    This member is added by an implicit conversion from VecLike[T] toArrowAssoc[VecLike[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from SourceInfoDoc

Inherited from HasId

Inherited from InstanceId

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, IndexedSeq, IndexedSeq[T]]

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from Seq[T]

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from Seq[T]

Inherited from Equals

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from PartialFunction[Int, T]

Inherited from (Int) => T

Inherited from Iterable[T]

Inherited from Iterable[T]

Inherited from IterableFactoryDefaults[T, [x]IndexedSeq[x]]

Inherited from IterableOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnceOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnce[T]

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion UnliftOps fromVecLike[T] to UnliftOps[Int, B]

Inherited by implicit conversion iterableOnceExtensionMethods fromVecLike[T] to IterableOnceExtensionMethods[T]

Inherited by implicit conversion any2stringadd fromVecLike[T] to any2stringadd[VecLike[T]]

Inherited by implicit conversion StringFormat fromVecLike[T] to StringFormat[VecLike[T]]

Inherited by implicit conversion Ensuring fromVecLike[T] to Ensuring[VecLike[T]]

Inherited by implicit conversion ArrowAssoc fromVecLike[T] to ArrowAssoc[VecLike[T]]

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.