Packages

final class BlackBox extends chisel3.BlackBox with (Interface.this)#Entity

The black box that has the same ports as this interface. This is what is instantiated by any user of this interface, i.e., a test harness.

Source
Interface.scala
Linear Supertypes
(Interface.this)#Entity, chisel3.BlackBox, BaseBlackBox, BaseModule, IsInstantiable, HasId, InstanceId, AnyRef, Any
Type Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. BlackBox
  2. Entity
  3. BlackBox
  4. BaseBlackBox
  5. BaseModule
  6. IsInstantiable
  7. HasId
  8. InstanceId
  9. AnyRef
  10. Any
Implicitly
  1. by BaseModuleExtensions
  2. by IsInstantiableExtensions
  3. by any2stringadd
  4. by StringFormat
  5. by Ensuring
  6. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Instance Constructors

  1. new BlackBox()

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from BlackBox toany2stringadd[BlackBox] performed by method any2stringadd in scala.Predef.
    Definition Classes
    any2stringadd
  4. def ->[B](y: B): (BlackBox, B)
    Implicit
    This member is added by an implicit conversion from BlackBox toArrowAssoc[BlackBox] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  5. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  6. def IO[T <: Data](iodef: => T)(implicit sourceInfo: SourceInfo): T

    This must wrap the datatype used to set the io field of any Module.

    This must wrap the datatype used to set the io field of any Module. i.e. All concrete modules must have defined io in this form: [lazy] val io[: io type] = IO(...[: io type])

    Items in [] are optional.

    The granted iodef must be a chisel type and not be bound to hardware.

    Also registers an Data as a port, also performing bindings. Cannot be called once ports are requested (so that all calls to ports will return the same information). Internal API.

    TODO(twigg): Specifically walk the Data definition to call out which nodes are problematic.

    Attributes
    protected
    Definition Classes
    BaseModule
  7. def _bindIoInPlace(iodef: Data)(implicit sourceInfo: SourceInfo): Unit

    Chisel2 code didn't require the IO(...) wrapper and would assign a Chisel type directly to io, then do operations on it.

    Chisel2 code didn't require the IO(...) wrapper and would assign a Chisel type directly to io, then do operations on it. This binds a Chisel type in-place (mutably) as an IO.

    Attributes
    protected
    Definition Classes
    BaseModule
  8. var _closed: Boolean
    Attributes
    protected
    Definition Classes
    BaseModule
  9. def _compatIoPortBound(): Boolean
    Attributes
    protected
    Definition Classes
    BlackBox
  10. def _moduleDefinitionIdentifierProposal: String
    Attributes
    protected
    Definition Classes
    BaseModule
  11. def _sourceInfo: SourceInfo
    Attributes
    protected
    Definition Classes
    BaseModule
  12. def _traitModuleDefinitionIdentifierProposal: Option[String]
    Attributes
    protected
    Definition Classes
    BaseModule
  13. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  14. def circuitName: String
    Definition Classes
    HasId
  15. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native()
  16. final val definitionIdentifier: String

    Represents an eagerly-determined unique and descriptive identifier for this module

    Represents an eagerly-determined unique and descriptive identifier for this module

    Definition Classes
    BaseModule
  17. final def desiredName: String
    Definition Classes
    Entity
  18. def endIOCreation()(implicit si: SourceInfo): Unit

    Disallow any more IO creation for this module.

    Disallow any more IO creation for this module.

    Definition Classes
    BaseModule
  19. def ensuring(cond: (BlackBox) => Boolean, msg: => Any): BlackBox
    Implicit
    This member is added by an implicit conversion from BlackBox toEnsuring[BlackBox] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  20. def ensuring(cond: (BlackBox) => Boolean): BlackBox
    Implicit
    This member is added by an implicit conversion from BlackBox toEnsuring[BlackBox] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  21. def ensuring(cond: Boolean, msg: => Any): BlackBox
    Implicit
    This member is added by an implicit conversion from BlackBox toEnsuring[BlackBox] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  22. def ensuring(cond: Boolean): BlackBox
    Implicit
    This member is added by an implicit conversion from BlackBox toEnsuring[BlackBox] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  23. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  24. def equals(that: Any): Boolean
    Definition Classes
    HasId → AnyRef → Any
  25. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable])
  26. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native()
  27. def getModulePorts: Seq[Data]
    Attributes
    protected[chisel3]
    Definition Classes
    BaseModule
  28. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  29. def hashCode(): Int
    Definition Classes
    HasId → AnyRef → Any
  30. def instanceName: String

    Signal name (for simulation).

    Signal name (for simulation).

    Definition Classes
    BaseModule → HasId → InstanceId
  31. final val io: (Interface.this)#Ports
    Definition Classes
    BlackBoxEntity
  32. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  33. final lazy val name: String

    Legalized name of this module.

    Legalized name of this module.

    Definition Classes
    BaseModule
  34. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  35. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  36. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  37. val params: Map[String, Param]
    Definition Classes
    BlackBox
  38. def parentModName: String
    Definition Classes
    HasId → InstanceId
  39. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  40. def pathName: String
    Definition Classes
    HasId → InstanceId
  41. def portsContains(elem: Data): Boolean
    Attributes
    protected
    Definition Classes
    BaseModule
  42. def portsSize: Int
    Attributes
    protected
    Definition Classes
    BaseModule
  43. final def properties[B <: BaseModule](implicit arg0: (Interface.this)#Conformance[B]): (Interface.this)#Properties

    Return the properties of this instance.

    Return the properties of this instance. This requires brining a conformance into scope.

    Definition Classes
    BlackBoxEntity
  44. def suggestName(seed: => String): BlackBox.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  45. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  46. final def toAbsoluteTarget: IsModule

    Returns a FIRRTL ModuleTarget that references this object

    Returns a FIRRTL ModuleTarget that references this object

    Definition Classes
    BaseModuleInstanceId
    Note

    Should not be called until circuit elaboration is complete

  47. def toDefinition: Definition[BlackBox]
    Implicit
    This member is added by an implicit conversion from BlackBox toBaseModuleExtensions[BlackBox] performed by method BaseModuleExtensions in chisel3.experimental.BaseModule.
    Definition Classes
    BaseModuleExtensions
  48. final def toNamed: ModuleName

    Returns a FIRRTL ModuleName that references this object

    Returns a FIRRTL ModuleName that references this object

    Definition Classes
    BaseModuleInstanceId
    Note

    Should not be called until circuit elaboration is complete

  49. final def toRelativeTarget(root: Option[BaseModule]): IsModule

    Returns a FIRRTL ModuleTarget that references this object, relative to an optional root.

    Returns a FIRRTL ModuleTarget that references this object, relative to an optional root.

    If root is defined, the target is a hierarchical path starting from root.

    If root is not defined, the target is a hierarchical path equivalent to toAbsoluteTarget.

    Definition Classes
    BaseModule
    Note

    If root is defined, and has not finished elaboration, this must be called within atModuleBodyEnd.

    ,

    The BaseModule must be a descendant of root, if it is defined.

    ,

    This doesn't have special handling for Views.

  50. def toString(): String
    Definition Classes
    AnyRef → Any
  51. final def toTarget: ModuleTarget

    Returns a FIRRTL ModuleTarget that references this object

    Returns a FIRRTL ModuleTarget that references this object

    Definition Classes
    BaseModuleInstanceId
    Note

    Should not be called until circuit elaboration is complete

  52. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  53. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  54. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()

Shadowed Implicit Value Members

  1. def toInstance: Instance[BlackBox]
    Implicit
    This member is added by an implicit conversion from BlackBox toBaseModuleExtensions[BlackBox] performed by method BaseModuleExtensions in chisel3.experimental.BaseModule.
    Shadowing
    This implicitly inherited member is ambiguous. One or more implicitly inherited members have similar signatures, so calling this member may produce an ambiguous implicit conversion compiler error.
    To access this member you can use a type ascription:
    (blackBox: BaseModuleExtensions[BlackBox]).toInstance
    Definition Classes
    BaseModuleExtensions
  2. def toInstance: Instance[BlackBox]
    Implicit
    This member is added by an implicit conversion from BlackBox toIsInstantiableExtensions[BlackBox] performed by method IsInstantiableExtensions in chisel3.experimental.hierarchy.core.IsInstantiable.
    Shadowing
    This implicitly inherited member is ambiguous. One or more implicitly inherited members have similar signatures, so calling this member may produce an ambiguous implicit conversion compiler error.
    To access this member you can use a type ascription:
    (blackBox: IsInstantiableExtensions[BlackBox]).toInstance
    Definition Classes
    IsInstantiableExtensions

Deprecated Value Members

  1. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from BlackBox toStringFormat[BlackBox] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  2. def [B](y: B): (BlackBox, B)
    Implicit
    This member is added by an implicit conversion from BlackBox toArrowAssoc[BlackBox] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from (Interface.this)#Entity

Inherited from chisel3.BlackBox

Inherited from BaseBlackBox

Inherited from BaseModule

Inherited from IsInstantiable

Inherited from HasId

Inherited from InstanceId

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion BaseModuleExtensions fromBlackBox to BaseModuleExtensions[BlackBox]

Inherited by implicit conversion IsInstantiableExtensions fromBlackBox to IsInstantiableExtensions[BlackBox]

Inherited by implicit conversion any2stringadd fromBlackBox to any2stringadd[BlackBox]

Inherited by implicit conversion StringFormat fromBlackBox to StringFormat[BlackBox]

Inherited by implicit conversion Ensuring fromBlackBox to Ensuring[BlackBox]

Inherited by implicit conversion ArrowAssoc fromBlackBox to ArrowAssoc[BlackBox]

Ungrouped